新闻中心

首页 > 新闻中心> 公司新闻

半导体设备,光刻机一枝独秀

发布时间:2022-11-17发布人:

半导体设备,光刻机一枝独秀



到处肆虐的半导体寒风在ASML的投资者日会议上戛然而止,接连上修的营收展望、持续推进的产能扩大计划、强劲的未来需求,就连摩根士丹利分析师Lee Simpson也在近日大喊加码,ASML的周围充满了春天生机盎然的气息,这与一众在半导体寒冬中瑟瑟发抖的设备厂商相比,显得尤为“格格不入”。



这家光刻机龙头似乎总有一股魔力,哪怕在10月份,整体半导体设备厂商的股价都步入低谷之际,ASML依旧让投资机构给出了超凡的估值。Khaveen Investments在10月11日的报告中,给出了ASML 24.29x的企业估值数据,而他们认为半导体设备行业平均EV / EBITDA (EV/EBITDA 又称企业价值倍数,是一种被广泛使用的公司估值指标)仅为12.37 x,是 ASML的一半,其余KLA、Lam Research、应用材料、Tokyo Electron (TEL)等几家设备巨头的估值甚至皆未达到均值。

1.png

                                                                                                                            图源:Khaveen Investments



自2018年后,光刻机的热度就喧嚣尘上,但在众多半导体设备中,光刻机突出的,可远不止它的超高话题度…



一枝独秀的光刻机厂商



虽然常有半导体产业链“卖铲人”之称,但今年半导体设备厂商也并不好过,此前《市值暴跌的半导体设备巨头》一文指出,今年以来,下滑的需求,腰斩的市值、消退的热情、缩减的资本都让半导体设备厂商处于水深火热之中。即便近一个月设备厂商们的股价有所回升,但笼罩在他们未来展望上的阴霾却并没有消散。



TEL甚至4年来首度下砍财测。11月10日,TEL发布新闻稿称,因总体经济放缓疑虑、地缘政治风险,导致半导体厂商的设备投资出现暂缓/抑制倾向,造成营收预估将逊于原先预期,因此今年度(2022年4月至2023年3月)合并营收目标自原先预估的2.35兆日圆下砍2,500亿日圆至2.1兆日圆,合并营益目标自7,160亿日圆下修1,700亿日圆至5,460亿日圆,合并纯益目标也自5,230亿日圆下修1,230亿日圆至4,000亿日圆。



除此之外,Lam Research此前估计明年损失20亿至25亿美元收入;KLA初估明年营收损失达9亿美元;AMAT虽然还未公布季度财报,但在过去几个月,分析师一直看跌他们的盈利前景,九次向下修正盈利预测,投资机构Zacks预测每股盈利为 1.73 美元,表明收益同比下降约10%。



然而,在这“愁,愁,愁”的氛围中,ASML和佳能两家光刻机厂商却展现出了前所未有的产业生机。



ASML:营收预测“向上而生”


由于看好市场对先进芯片生产设备的需求强劲,ASML于11月10日上调了2025年的营收展望,预估到2025年时营收将达300亿-400亿欧元(约309至412亿美元),高于之前预估的240亿-300亿欧元,也优于分析师平均预估的320亿欧元。ASML还指出,未来十年的业绩将持续增长,到2030年时的销售目标为440亿-600亿欧元,并持续提高产能。



按照ASML 2021年186亿欧元的营收估算,到了2025年ASML营收增长率为61.3%-115%,到2030年营收增长率为136.6%-222.6%。虽然这个数据看起来很吓人,但对于ASML来说,可能只是属于正常增长,因为过去十年,它就是这么成长而来的。2012年,ASML营收仅为47.32亿欧元,到了2016年就已经增长至68.75亿欧元,由此来看,2012-2021十年间,ASML营收成长率高达295.7%,而2016-2021五年间,成长率则为169.6%。事实证明,现实数据只会更加惊人。


2.png

                                                                                                                            图源:《光刻机巨头ASML的十年变迁》



想要实现这样的营收预期,产能的扩充自然是必不可少的。一直以来,ASML的光刻机都处于一个供不应求的状态,产能越多,营收才能更多,尤其那些适用于先进制程的EUV、High NA EUV设备,虽然制作难度上升,但相对的价格也是水涨船高。从ASML扩产计划来看,到2025-2026年EUV年产能增加到 90 台,过去十年间,ASML EUV出货量从2012年的1台,增长到了2021年的42台,随着产能的增加,未来五年/十年EUV的出货量肯定也会取得显著增长。



到2027-2028年,ASML还计划将 High-NA EUV 产能增加到 20 个系统,据路透社此前报道,新一代High-NA EUV设备订价约4亿美元,上一代EUV光刻机售价大约1.2亿美元,这就意味着High-NA EUV设备售价提升了两倍多。今年年初ASML收到来自英特尔的最新款High-NA EUV「EXE:5200」首张订单,英特尔预计到2025年该设备加入量产,除英特尔外,目前台积电、三星等一线晶圆厂也都已预购了High-NA EUV 。



与EUV/High-NA EUV相比,DUV就便宜了很多,平均每台售价约0.28亿欧元,但这并不妨碍其成为此次扩产的主力军。ASML计划到2025-2026年,DUV 系统的年产能增加到600个,几乎是2019-2021年ASML 3年的DUV出货总量,数据显示,2021年ASML共出货了234台DUV光刻机,2020年共出货193台,2019年共出货169台,三年总计596台,可见此次ASML扩产力度不可小觑。



佳能:产能翻番


在光刻机的扩产方面,并不是只有ASML在行动,“落魄”的光刻机贵族佳能居然时隔21年宣布扩产计划。之所以说“落魄”,想必大家都知道,佳能光刻机在上世纪也是一方霸主的存在,但在干湿路线之争之后,佳能就有些赶不上ASML的步伐了,尤其在EUV设备领域,更是难以望其项背。



在过去相机是佳能的主营业务,但近些年全球数码相机业务持续下滑,佳能也难免受到冲击,而光刻机业务却逐渐成为了增长动力,毕竟据华尔街日报去年年底报道,1995年制造的二手光刻机佳能FPA3000i4,在2014年10月只值10万美元,今天则值170万美元,涨价17倍。二手的都这么抢手,一手的更不用多说了。



目前,佳能主要在宇都宫事务所(宇都宫市)和阿见事务所(茨城县阿见町)等2处日本工厂生产半导体光刻设备,专注于i-line到KrF的低端光刻机系列。佳能财报显示,未来随着半导体设备投资的增加,佳能的光刻机业务还会持续增长。



或许是觉得“与其让黄牛赚这个钱还不如让我自己赚”,又或许是受到地缘政治的影响,也有可能是力压的NIL(纳米压印光刻)技术有了突破性进展,总之,这个曾经的光刻机龙头时隔21年宣布扩产。



据日经新闻网10月初报道,佳能将在宇都宫事务所内约7万平方米的空地上建设半导体光刻设备的新工厂,包括厂房建设费用和生产设备在内的总投资额预计达到540~560亿日元左右,将于2023年内开工建设,产能将提高至现在的2倍,力争2025年春季投入运行。


3.png

                                                                                                                                          图源:日经中文网



值得注意的是,日经新闻网还指出,新工厂还计划开发新一代设备,这新一代设备就是上述提到的NIL设备,NIL是一种复制纳米尺度特征的有效技术,2003年就被添加到ITRS路线图中,作为NIL技术的拥护者,佳能早在2004年就开始这项技术的研发,目前已经在存储领域取得了显著进展(和佳能共同开发的NIL技术的铠侠已掌握NIL 15nm的制程量产技术,目前正在进行15nm以下技术研发,预计2025年进一步达成)。据悉,为了实现NIL系统的快速升级,佳能还应用机器学习和AI技术进一步提高系统性能,提高生产力,并实现更自主的控制。或许,佳能NIL设备的量产已经指日可待了。



不得不承认,近期内佳能在光刻机领域的布局并不少,今年4月初,日经新闻还报道称,佳能正在开发用于半导体3D技术的光刻机,新产品通过在原基础上改进透镜和镜台等光学零部件,来提高曝光精度,曝光面积达到原产品的4倍。为了增加布线密度,新产品还提高了分辨率,支持1微米的线宽。不过,该新产品最早于2023年上半年上市,从时间来看,或许还是在原有厂房内制造。



产能方面,佳能预测2022年半导体光刻设备的销量比上年增长29%,增至180台,最近10年内激增至4倍,建设新工厂后,2个基地的总产能将增至约2倍。产能和研发两手抓的佳能,能否在未来扩大自己光刻机的市占率,我们也拭目以待。



尼康虽然暂未传出扩产消息,但据日经新闻8月报道,尼康预计2025财年(截至2026年3月)将把半导体光刻机主力机型的年销量增至截至2021财年(截至2022年3月)3年平均销量的2倍以上,以2023年上市的支持3D半导体的新产品为中心,开拓英特尔以外的日本、中国大陆、台湾客户。虽然并非是尖端的光刻机,但尼康认为通过支持3D实现附加价值,可以提高在行业里的影响力。



光刻机为何如此“耐打”



同为半导体制造不可或缺的设备,为什么光刻机就如此“耐打”?笔者分析,主要有以下三大原因:



原因一:先进制程设备的订单比产能扩张计划更具黏性。



光刻技术是芯片制造工艺中最关键的一步,芯片技术之所以能在过去60年间,一步步从百微米发展到如今的3nm,光刻机功不可没,没有光刻机,摩尔定律或许无法延伸到现在。在芯片制造过程中,光刻机身处最前道,只有通过光刻机把掩膜版上的电路图转移到晶圆表面的抗蚀剂膜上,才能进行后续的化学显影、定影、清洗和检测等工序,没有光刻机,再优秀的芯片设计也只会是“镜中花,水中月”,无法转换成对我们有用的芯片。



而对于台积电、三星、英特尔等一线晶圆代工厂来说,想要抢在竞争对手前面率先取得先进制程的突破,绕不开光刻机,毕竟“巧妇难为无米之炊”,没有先进的光刻机就不可能制造出采用先进制程工艺的芯片,所以大厂们才会在去年年底就开始争先下单ASML High-NA EUV。High-NA EUV作为进入到未来2nm,甚至是“埃米时代”的入场券,只有拥有了它,玩家才有资格参与到下一轮的先进制程斗争中。



这也是开头Lee Simpson在低迷市场情势下大喊加码ASML的原因所在,Lee Simpson认为,ASML比大多数同行有更好的条件挺过去,因为先进工具的订单往往比产能扩张计划更具黏性。他指出,尽管ASML知道更广泛芯片业的需求有些疲软,但它可以证明自己深具韧性,此前大量积压的订单可以支撑到2023年,届时供应链条件改善可提高毛利率,而且ASML的EUV具有战略性质。



原因二:存储业务占比相对较少,晶圆代工需求旺盛。



近期设备厂商之所以步履薄冰,最大关键点就是受到短期内个人计算机和智能手机需求放缓影响,台积电、SK海力士、美光、英特尔等芯片厂商都在放缓资本支出。这其中,以存储厂商资本支出缩减最为严重,存储芯片是一个市场周期波动性比较大的行业,面对当前不景气的终端市场,包括SK海力士、美光、铠侠、南亚科在内众多存储厂商大幅缩减资本支出,动荡的下游市场自然对设备厂商产生了极大的影响,因为在厂商的资本缩减中,设备投资减少首当其中。



Khaveen Investments数据显示,存储业务是Lam Research最大的业务,61%的营收来自于此;TEL的存储收入占了51.3%,TEL日前下修财测的原因中就包括了内存厂商变更或延后设备投资;AMAT的存储收入则占了40%;相比下ASML 29.8%的存储收入占比远低于其他几家设备厂商,因此其受到的资本缩减影响也相对较少。

4.png

                                                                                                                                 图源:Khaveen Investments



另一方面,虽然晶圆代工厂的资本支出也所有缩减,但从长期来看,芯片制造竞争加剧,美欧日韩中等多地区都在努力扶植本土的芯片生产,将促成芯片产业所需产能的提高。Khaveen Investments根据各大厂商透露的消息,预计存储芯片制造商的资本支出将在2023年下降,而逻辑芯片制造商的资本支出将在2023年回升。



TrendForce也指出,2022 年晶圆代工产值成长来自市场需求,市场疲弱造成库存调整,冲击 2023 年产值仅成长 2.7%。即便如此,2023 年晶圆代工产业产值仍会持续成长,因台积电预计市占逼近六成,加上强势涨价与高单价 3 nm制程晶圆挹注,使台积电有高个位数成长,带动 2023 年晶圆代工产值成长。这无疑又给逻辑芯片占据大头的光刻机厂商增添了底气。



原因三:欧日厂商主导光刻机市场,受美国禁令影响比纯美系厂商小。



目前,全球三大光刻机厂商分别是ASML、佳能和尼康,其中ASML属于欧洲厂商,佳能和尼康则均为日本厂商,这点从彭博社此前统计的芯片设备制造商命运因地点而异的图表就可以体现出。

5.png

                                                                                                                                                图源:彭博社



据彭博社报道,分析师预计Lam Research、KAL、AMAT这三家美国公司的明年收入下降幅度将超过日本同行。Lam Research 已经预计2023年在华收入减少20亿-25亿美元,KAL也初估受美禁令影响明年营收损失达9亿美元,虽然日本的TEL营收也受到了影响,但相比上述三家,影响较少。



从ASML财报来看,中国大陆在2021年的时候就不是ASML的最大销售市场,销售额仅为 16%。据路透社报道,ASML首席执行官 Peter Wennink 上周五对投资者表示,如果中国芯片制造商无法将产能扩大到当前水平以上,ASML也不会改变其 2030 年的营收预测。Wennink表示:“虽然我不愿意看到,但如果地缘政治形势是这样,如果中国被排除在任何增长之外,但芯片需求还是在那里,晶圆厂将会建在其他地方。虽然这可能会存在短暂的问题,但最终我们仍需要制造这些芯片。“



而从某种意义上说,ASML在中国市场上空出的份额,有一定几率由佳能和尼康来填补,毕竟目前来看,这两家厂商的光刻机主要属于中低端系列,受到美国禁令影响更小。



写在最后



大家都知道半导体行业是周期性的,虽然现在行业整体都处于下行周期,但总有一天会迎来转折点,迎来新契机。不过,那都是未来的事情了,没有当下,何谈未来?



因此,现下我们需要考虑的就是如何度过低迷时期,总结光刻机之所以“耐打”,就是因为它足够强。技术够强,所以能在半导体制造中有着举足轻重的地位;ASML也因为够强,所以客户更具黏性。当然,本土厂商除了勤修内功,努力变强外,还需要多元化布局,即便是存储、逻辑双重布局的设备巨头都因比重问题受到了不小的影响,更不用说单一性布局的其他厂商了,在特殊时期,受到的影响只会更大。




转载微信公众号:半导体行业观察


声明:本文版权归原作者所有,转发仅为更大范围传播,若有异议请联系我们修改或删除:zhangkai@cgbtek.com